[vtkusers] System Zlib -> metaio doesn't seem to work

Sean McBride sean at rogue-research.com
Thu Aug 2 13:58:11 EDT 2007


On 8/2/07 6:40 PM, Atwood, Robert C said:

>I only find one instance where vtk_zlib_mangle.h is included,  in
>zconf.h , removing it does allow compilation of the library and my
>application  with system zlib 

Great!

I have created a bug summarising what we have discovered:
<http://www.vtk.org/Bug/bug.php?op=show&bugid=5444>

I suspect it would be real easy to fix... anyone?

-- 
____________________________________________________________
Sean McBride, B. Eng                 sean at rogue-research.com
Rogue Research                        www.rogue-research.com 
Mac Software Developer              Montréal, Québec, Canada





More information about the vtkusers mailing list